Матросова, А. Ю.
    Тестирование неисправностей задержек путей в условиях ограничений на выбор пар тестовых наборов [Текст] / А. Ю. Матросова, А. В. Мельников // Известия Томского политехнического университета. - 2010. - Т. 316, N 5 : Управление, вычислительная техника и информатика. - С. 98-104. : ил. - Библиогр.: с. 104 (3 назв. ).
УДК
ББК 32.973-04
Рубрики: Вычислительная техника
   Блоки обработки данных

Кл.слова (ненормированные):
неисправности задержек путей -- тестирование неисправностей -- комбинационные схемы -- компьютерные эксперименты -- робастные неисправности
Аннотация: Рассматриваются одиночные неисправности задержек путей комбинационных схем в условиях ограничений на выбор пар тестовых наборов. Определяются ситуации, в которых одна и та же пара тестовых наборов может использоваться для обнаружения противоположных перепадов значений сигналов рассматриваемого пути. Учет таких ситуаций позволяет сокращать длину проверяющего теста для неисправностей задержек путей комбинационной схемы. Приводится пример класса комбинационных схем, в которых для каждого пути существует пара тестовых наборов, удовлетворяющая введенным в работе ограничениям. Компьютерные эксперименты, выполненные на контрольных примерах, подтверждают высокое качество тестирования неисправностей задержек путей в схемах такого класса.


Доп.точки доступа:
Мельников, А. В.
Нет сведений об экземплярах (Источник в БД не найден)




    Стемпковский, А. Л. (академик).
    Повышение сбоеустойчивости логических схем на основе частичного ресинтеза схемы [Текст] / А. Л. Стемпковский, Д. В. Тельпухов, Р. А. Соловьев // Информационные технологии. - 2016. - Т. 22, № 7. - С. 515-522. - Библиогр.: с. 522 (11 назв.) . - ISSN 1684-6400
УДК
ББК 32.973-02
Рубрики: Вычислительная техника
   Перспективные архитектуры

Кл.слова (ненормированные):
комбинационные схемы -- наблюдаемость вентиля -- коэффициент чувствительности -- ресинтез -- логические схемы
Аннотация: Ряд разнородных факторов из области микроэлектронной промышленности в последнее время существенно актуализировал разработки в области повышения сбоеустойчивости комбинационных схем. В настоящее время в современных системах автоматизированного проектирования отсутствуют средства для оценки или повышения сбоеустойчивости комбинационных схем на логическом уровне. В данной работе была сделана попытка создания методологии для реализации подобных программных средств.


Доп.точки доступа:
Тельпухов, Д. В. (кандидат технических наук); Соловьев, Р. А. (кандидат технических наук)
Нет сведений об экземплярах (Источник в БД не найден)




   
    Применение теории кодирования для повышения помехозащищенности комбинационных схем [Текст] = Application of Coding Theory to Improve the Noise Immunity of Combinational Circuits / С. В. Гаврилов [и др.] // Информационные технологии. - 2016. - Т. 22, № 12. - С. 931-937. - Библиогр.: с. 937 (17 назв.) . - ISSN 1684-6400
УДК
ББК 32.97
Рубрики: Вычислительная техника
   Вычислительная техника в целом

Кл.слова (ненормированные):
комбинационные схемы -- проектирование -- САПР -- системы автоматизированного проектирования -- помехозащищенность -- интегральные схемы -- теория кодирования
Аннотация: Исследованы проблемы автоматизации проектирования, направленные на повышение помехозащищенности комбинационных интегральных схем на этапе логического проектирования.


Доп.точки доступа:
Гаврилов, С. В. (доктор технических наук); Гуров, С. И. (кандидат физико-математических наук; доцент); Жукова, Т. Д. (инженер-исследователь); Рыжова, Д. И. (младший научный сотрудник)
Нет сведений об экземплярах (Источник в БД не найден)




   
    Методы проектирования помехозащищенных комбинационных КМОП-схем, обеспечивающие автоматическое исправление ошибок [Текст] = Methods of designing of fault tolerance CMOS combinational circuits which provides automatic correction of errors / А. Л. Стемпковский [и др.] // Информационные технологии. - 2017. - Т. 23, № 10. - С. 728-735. - Библиогр.: с. 735 (28 назв.). - Работа выполнена при поддержке РФФИ (проект № 15-07-02065 А) . - ISSN 1684-6400
УДК
ББК 31.2
Рубрики: Энергетика
   Электротехника в целом

Кл.слова (ненормированные):
комбинационные схемы -- помехозащищенность -- КМОП-схемы -- интегральные микросхемы -- самокорректируемые схемы -- избыточное кодирование -- линейные блоковые коды -- коды Хэмминга -- Хэмминга коды -- коды Хсяо -- Хсяо коды
Аннотация: Работа посвящена основным методам проектирования комбинационных КМОП-схем, обеспечивающим автоматическое исправление сбоев.


Доп.точки доступа:
Стемпковский, А. Л. (доктор технических наук; профессор); Гаврилов, С. В. (доктор технических наук; профессор); Гуров, С. И. (кандидат физико-математических наук; доцент); Рыжова, Д. И. (кандидат технических наук; младший научный сотрудник)
Нет сведений об экземплярах (Источник в БД не найден)




   
    Использование ROBDD-графов для тестирования задержек логических схем [Текст] / А. Ю. Матросова [и др.] // Известия вузов. Физика. - 2019. - Т. 62, № 5. - С. 86-94. - Библиогр.: с. 94 (9 назв. ) . - ISSN 0021-3411
УДК
ББК 22.311 + 22.31
Рубрики: Физика
   Математическая физика

   Теоретическая физика

Кл.слова (ненормированные):
ROBDD-графы -- комбинационные схемы -- логические схемы -- неисправности задержек путей -- ортогональные ДНФ -- последовательностные схемы -- робастно тестируемые неисправности задержек путей -- тестирование задержек логических схем -- эквивалентные нормальные формы
Аннотация: С увеличением быстродействия интегральных схем и ростом уровня их интеграции возможно возникновение непредусмотренных емкостей, индуктивностей и т. д., приводящих к снижению расчетного быстродействия схемы. Эти дефекты не удается купировать физическими методами. Одним из основных средств анализа таких ситуаций является тестирование схем в рамках логических моделей неисправностей задержек путей. В данной работе исследуются возможности улучшения качества тестовых последовательностей, обнаруживающих неисправности задержек путей, связанные с использованием ROBDD (Reduced Ordered Binary Decision Diagrams) -графов, компактно представляющих все пары тестовых наборов для пути в схеме. Речь идет о парах соседних булевых векторов, отличающихся значением одной компоненты. Устанавливается, что использование таких ROBDD-графов позволяет существенно (более чем на 1/3) сокращать длину тестовой последовательности по сравнению с традиционными методами сканирования (ориентированными на эвристические подходы к получению тестовых пар) при одновременном улучшении качества тестирования. Под качеством тестирование здесь понимается обнаружение задержки каждого робастно тестируемого пути (полнота тестовой последовательности), снижение потребляемой при тестировании мощности и снижение пиковой потребляемой мощности для смежных наборов тестовой последовательности. Потребляемая мощность оценивается общим числом смен значений сигналов в пределах тестовой последовательности.


Доп.точки доступа:
Матросова, А. Ю.; Андреева, В. В.; Тычинский, В. З.; Гошин, Г. Г.
Нет сведений об экземплярах (Источник в БД не найден)